Search In this Thesis
   Search In this Thesis  
العنوان
6 GB / S serial link transceiver for NOCS /
الناشر
Safaa Ahmed Mohammed Abdelfattah ,
المؤلف
Safaa Ahmed Mohammed Abdelfattah
هيئة الاعداد
باحث / Safaa Ahmed Mohammed Abdelfattah
مشرف / Serag Eldin Elsayed Habib
مشرف / Sameh Asm Ibrahim
مناقش / Mohamed Riad Elghoneimy
مناقش / Mohamed A. Dessouky
تاريخ النشر
2016
عدد الصفحات
64 P. ;
اللغة
الإنجليزية
الدرجة
ماجستير
التخصص
الهندسة الكهربائية والالكترونية
تاريخ الإجازة
9/3/2016
مكان الإجازة
جامعة القاهرة - كلية الهندسة - Electronics and Communications Engineering
الفهرس
Only 14 pages are availabe for public view

from 83

from 83

Abstract

The design of a 6 Gb / s serial link for CUSPARC NoC is presented. The proposed SerDes consists of a serializer and a deserializer. The design targets TSMC digital 65 nm CMOS technology and 1.2-V supply. The use of serial links reduces the interconnect area of the network on chip by 93.96% relative to the design with parallel 32 bit data links. The traces between the cores achieved maximum tolerable clock skew between the Tx and the Rx up to ± 36% of the clock period. The link consumes 6.9 mW power 1.15 pJ / bit